Научный журнал
Современные наукоемкие технологии
ISSN 1812-7320
"Перечень" ВАК
ИФ РИНЦ = 0,940

ПРОЕКТИРОВАНИЕ И ИССЛЕДОВАНИЕ МОДЕЛЕЙ АНАЛОГО-ЦИФРОВЫХ ПРЕОБРАЗОВАТЕЛЕЙ В СОСТАВЕ ЭЛЕМЕНТОВ И УСТРОЙСТВ СИСТЕМ УПРАВЛЕНИЯ

Фрейман В.И. 1
1 ФГБОУ ВО «Пермский национальный исследовательский политехнический университет»
Настоящая статья посвящена исследованию способов проектирования и моделирования аналого-цифровых и цифро-аналоговых преобразователей в составе элементов и устройств вычислительной техники и систем управления. Показана важность и значимость преобразования сигналов из аналоговой формы в цифровую и наоборот для задач, решаемых современными системами управления. Проанализированы основные этапы преобразования, а именно: дискретизация, квантование, кодирование. Выполнена классификация способов аналого-цифрового преобразования, для каждого определены достоинства и недостатки. Проанализированы основные методы аналого-цифрового преобразования – поразрядного взвешивания и последовательного счета, рассмотрены алгоритмы и структурные схемы. Разработаны программные модели аналого-цифровых преобразователей в среде моделирования MathWorks Matlab, пакет расширения Simulink. Проиллюстрирован алгоритм работы с моделями, включая настройку параметров, моделирование и анализ результатов в графической и текстовой форме.
аналого-цифровой преобразователь
дискретизация
квантование
кодирование
алгоритм
погрешность
модель
MatLab
1. Волкова В.Н., Козлов В.Н., Горелова Г.В., Лыпарь Ю.И., Паклин Н.Б., Фирсов А.Н., Черненькая Л.В. Моделирование систем и процессов: учебник. Серия 58. Бакалавр. Академический курс (1-е изд.). – М.: Изд-во Юрайт, 2016. – 450 с.
2. Гаврилов А.В., Кон Е.Л., Фрейман В.И. К вопросу об управлении распределенными гетерогенными мультивендорными инфокоммуникационными системами // Вестник Пермского национального исследовательского политехнического университета. Электротехника, информационные технологии, системы управления. – 2011. – № 5. – С. 264–270.
3. Кон Е.Л., Фрейман В.И. Теория электрической связи. Помехоустойчивая передача данных в информационно-управляющих и телекоммуникационных системах: модели, алгоритмы, структуры: учеб. пособие. – Пермь: Изд-во Перм. гос. техн. ун-та, 2007. – 317 с.: ил.
4. Никифоров В.И., Речинский А.В., Черненькая Л.В. Понятийно-терминологический аппарат государственных образовательных стандартов системы непрерывного профессионального образования // Alma mater (Вестник высшей школы). – 2013. – № 11. – С. 43–47.
5. Пахомов Г.И., Фрейман В.И. Теория электрической связи. Основные понятия: учеб. пособие. – Пермь: Изд-во Перм. гос. техн. ун-та, 2007. – 115 с.
6. Речинский А.В., Никифоров В.И., Черненькая Л.В. Проектирование и реализация образовательных стандартов Санкт-Петербургского государственного политехнического университета // Научно-технические ведомости Санкт-Петербургского государственного политехнического университета. Гуманитарные и общественные науки. – 2012. – Т. 1, № 143. – С. 65–71.
7. Скляр Б. Цифровая связь. Теоретические основы и практическое применение. – Изд. 2-е, испр.: пер. с англ. – М.: Вильямс, 2003. – 1104 с.: ил.
8. Фрейман В.И., Пирожков А.П. Изучение эффективного (экономного) кодирования данных в телекоммуникационных системах в рамках лабораторного практикума // Энергетика. Инновационные направления в энергетике. CALS-технологии в энергетике. – 2012. – № 1. – С. 308–317.
9. Фрейман В.И., Пирожков А.П. Исследование эффективного кодирования в системах передачи и хранения информации // Научные исследования и инновации. – 2012. – Т. 6, № 1–4. – С. 214–222.
10. MATLAB Documentation [Электронный ресурс]. – Режим доступа: http://www.mathworks.com/help/matlab/ (дата обращения: 04.07.2016).

Постановка задачи. Источники и получатели информации в современных системах управления могут быть и непрерывными, и дискретными. При этом для передачи по каналам и трактам используется цифровая форма представления сообщения [2]. Поэтому важным элементом современных устройств систем управления являются аналого-цифровые (АЦП) и цифро-аналоговые преобразователи (ЦАП). Следовательно, важной задачей представляется проектирование и исследование моделей аналого-цифровых преобразователей в составе элементов и устройств систем управления [3]. Это объясняется тем, что от корректного выбора параметров, алгоритма преобразования, способа построения зависят многие общесистемные характеристики (качество управления, точность, погрешность и т.п.).

Общие принципы аналого-цифрового преобразования сигналов

При передаче аналоговых сигналов по каналам цифровых систем передачи (ЦСП) формирование цифрового сигнала предусматривает последовательное выполнение следующих основных операций [7]:

– дискретизация аналоговых сигналов по времени, в результате чего формируется амплитудно-импульсный модулированный сигнал (АИМ) [5];

– квантование АИМ сигнала по уровню (с процедурой округления или, при необходимости, компандирования) [5];

– кодирование отсчетов АИМ-сигнала в выбранной системе (десятичной, двоичной и т.п.), в результате чего формируется цифровой сигнал [8, 9].

Преобразование сигнала из цифровой формы в аналоговую осуществляется в обратном порядке: из кода получается амплитудно-импульсный модулированный сигнал, квантованный по уровню, а далее из импульсного сигнала восстанавливается непрерывный (аналоговый) сигнал.

Основными параметрами аналого-цифрового и цифро-аналогового преобразователей (АЦП и ЦАП соответственно) являются:

1) разрядность (m);

2) диапазон изменения измеряемого сигнала [Umax – Umin];

3) шаг квантования (DU).

Разрядность АЦП определяется по следующей формуле:

M = [log2((Umax – Umin) / DU + 1)]. (1)

К основным способам аналого-цифрового преобразования относятся [5]:

– метод поразрядного взвешивания;

– метод последовательного счета.

В методе поразрядного взвешивания в течение нескольких тактов, определяемых разрядностью преобразователя, производится сравнение входного значения (U) и веса соответствующего разряда кодового вектора цифрового представления отсчета (U*). Сравнение начинается с веса старшего разряда, то есть со значения DU·2m–1. Если входное значение больше веса текущего разряда и накопленного значения, то данный разряд (коэффициент ai в разложении в ряд) будет обращен в 0, в противном случае разряд устанавливается в 1, а значение напряжения запоминается и суммируется с предыдущим состоянием. Таким образом, за m тактов будет произведено последовательное преобразование аналогового значения в цифровое.

Обратное преобразование (цифро-аналоговое) осуществляется путем восстановления квантованного отсчета по номеру уровня и шагу квантования:

fr01.wmf. (2)

Метод имеет алгоритмическую погрешность, связанную с округлением реального значения до одного из соседних уровней квантования. Эту погрешность, называемую шумом квантования, можно оценить при помощи абсолютной, относительной и приведенной погрешностей.

fr02.wmf

fr03.wmf. (3)

Вследствие выбранного алгоритма округления (до меньшего значения) абсолютная погрешность должна быть строго меньше шага квантования. Преобразование занимает m такта (по количеству разрядов). Величина, прибавляемая на каждом этапе преобразования, определяется номером разряда и уменьшается от значения Δ·2 m–1 до ΔU.

Структурная схема преобразователя представлена на рис. 1.

Схема работает по одному из фронтов тактовых импульсов (CLK) с генератора (Г). Распределительное устройство (РУ) формирует такты работы, количество которых определяется разрядностью АЦП (m). РУ представляет собой регистр сдвига. По фронту синхроимпульса единица переписывается в следующий разряд (начиная со старших разрядов).

На каждом (i-м) такте значение «1» с i-го выхода РУ записывается в регистр (РГ), устанавливая соответствующий разряд в «1». При этом на ЦАП формируется выходное значение (U*), соответствующее записанному в РГ двоичному коду (номеру уровня квантования).

Входное значение U и выходное значение U* поступают на устройство сравнения (УС). Если U* > U, то УС формирует сигнал сброса (RESET), который сбрасывает значение данного разряда в 0. Таким образом, каждый разряд проверяется на вхождение в двоичное представление номера уровня квантования. Приближение осуществляется, начиная со старших разрядов, за счет чего достигается требуемая точность.

frejm1.wmf

Рис. 1. Структурная схема АЦП поразрядного взвешивания

frejm2.tif

Рис. 2. Модель АЦП поразрядного взвешивания

frejm3.tif

Рис. 3. Модель АЦП последовательного счета

ЦАП может строится в зависимости от базиса реализации, например, на матрице сопротивлений «R-2R».

Для упрощения моделирования предположим, что установка разряда происходит в течение первой половины текущего такта работы преобразователя, а сброс – в течение второй половины.

Метод последовательного счета заключается в формировании выходного значения U* на каждом этапе путем прибавления к нему на каждом этапе преобразования значения DU, сравнении получившегося выходного значения U* с входным значением U и окончанием преобразования при выполнении условия U* ≥ U. Таким образом, можно констатировать, что данный алгоритм проще в реализации, но количество этапов преобразования зависит от значения входного сигнала.

Реализация моделей аналого-цифровых преобразователей в среде MatLab

Для изучения способов проектирования и исследования аналого-цифровых преобразователей были разработаны и апробированы программные модели в среде моделирования MathWorks MatLab, пакет расширения Simulink [10]. Они позволяют разобраться с алгоритмом преобразования и схемой построения, задать необходимые параметры (шаг квантования – ΔU и входное значение U), выполнить моделирование работы и оценить полученные результаты (графики, расчет выходных значений в аналоговом и цифровом виде (двоичной, десятичной и шестнадцатиричной системах исчисления), погрешности преобразования (абсолютную и относительную)). Для иллюстрации разных алгоритмов округления в АЦП поразрядного взвешивания используется округление в меньшую сторону, а в АЦП последовательного счета – в большую сторону.

frejm4a.tif

а

frejm4b.tif

б

frejm4c.tif

в

Рис. 4. Модели основных элементов аналого-цифровых преобразователей

Как видно из анализа структурных схем преобразователей (рис. 2 и 3), схемотехническая модель и алгоритм поразрядного взвешивания сложней в реализации, но при этом обладает детерминированным временем преобразования, которое всегда равно разрядности АЦП (m). Схемотехническая модель и алгоритм последовательного счета проще, но обладает недетерминированным временем преобразования, которое зависит от входного значения, поэтому тактовая частота должна быть выбрана из расчета максимально возможного значения входного сигнала.

Рассмотрим модели основных элементов преобразователей:

  • распределительное устройство (рис. 4, а) – предназначено для формирования временных меток и пространственных сигналов для задания этапов преобразования;
  • регистр (рис. 4, б) – для каждого разряда имеет режимы установки (для соответствующего веса) и сброса (при поступлении сигнала RESET от устройства сравнения);
  • цифро-аналоговый преобразователь (рис. 4, в) – позволяет сформировать выходное квантованное значение U* для последующего его сравнения с входным значением.

Также в моделях активно применяются имеющиеся в библиотеке Simulink встроенные элементы (ключи, сумматоры, логические устройства, генераторы, средства визуализации результатов моделирования и т.п.).

Заключение

В настоящей статье представлены результаты исследования способов проектирования и реализации моделей аналого-цифровых и цифро-аналоговых преобразователей в составе элементов и устройств систем управления. Они находят широкое применение, поскольку системы управления ориентированы на передачу информации от источников (датчиков, измерительных преобразователей и т.д.) к получателям (исполнительным механизмам, регистраторам и т.д.) разной природы. Поэтому возникает задача преобразования сигнала из непрерывной (аналоговой) формы в дискретную и цифровую, а затем, при необходимости, обратно. Поэтому также важно обеспечить подготовку к решению задач проектирования, исследования, моделирования и реализации подобных устройств специалистов по разработке и внедрению средств вычислительной техники и систем управления [1, 4, 6].


Библиографическая ссылка

Фрейман В.И. ПРОЕКТИРОВАНИЕ И ИССЛЕДОВАНИЕ МОДЕЛЕЙ АНАЛОГО-ЦИФРОВЫХ ПРЕОБРАЗОВАТЕЛЕЙ В СОСТАВЕ ЭЛЕМЕНТОВ И УСТРОЙСТВ СИСТЕМ УПРАВЛЕНИЯ // Современные наукоемкие технологии. – 2016. – № 8-2. – С. 270-274;
URL: https://top-technologies.ru/ru/article/view?id=36142 (дата обращения: 19.04.2024).

Предлагаем вашему вниманию журналы, издающиеся в издательстве «Академия Естествознания»
(Высокий импакт-фактор РИНЦ, тематика журналов охватывает все научные направления)

«Фундаментальные исследования» список ВАК ИФ РИНЦ = 1,674